/* * wapbl_write(data, len, devvp, pbn) * * Synchronously write len bytes from data to physical block pbn * on devvp. */